يعرض 1 - 10 نتائج من 87 نتيجة بحث عن '"Seong Jin Jang"', وقت الاستعلام: 1.09s تنقيح النتائج
  1. 1
  2. 2
  3. 3
    مؤتمر

    المصدر: 2016 IEEE Symposium on VLSI Circuits (VLSI-Circuits) VLSI Circuits (VLSI-Circuits), 2016 IEEE Symposium on. :1-2 Jun, 2016

    Relation: 2016 IEEE Symposium on VLSI Circuits

  4. 4
    دورية أكاديمية

    المصدر: IEEE Journal of Solid-State Circuits IEEE J. Solid-State Circuits Solid-State Circuits, IEEE Journal of. 40(1):223-232 Jan, 2005

  5. 5
    مؤتمر

    المصدر: 2014 Symposium on VLSI Circuits Digest of Technical Papers VLSI Circuits Digest of Technical Papers, 2014 Symposium on. :1-2 Jun, 2014

    Relation: 2014 IEEE Symposium on VLSI Circuits

  6. 6
    مؤتمر

    المصدر: 1999 IEEE International Solid-State Circuits Conference. Digest of Technical Papers. ISSCC. First Edition (Cat. No.99CH36278) Solid-state circuits Solid-State Circuits Conference, 1999. Digest of Technical Papers. ISSCC. 1999 IEEE International. :284-285 1999

    Relation: 1999 IEEE International Solid-State Circuits Conference. Digest of Technical Papers. ISSCC. First Edition

  7. 7
    مؤتمر

    المصدر: 1998 Symposium on VLSI Circuits. Digest of Technical Papers (Cat. No.98CH36215) VLSI circuits VLSI Circuits, 1998. Digest of Technical Papers. 1998 Symposium on. :60-61 1998

    Relation: 1998 Symposium on VLSI Circuits Digest of Technical Papers

  8. 8
    مؤتمر

    المصدر: 2004 IEEE International Solid-State Circuits Conference (IEEE Cat. No.04CH37519) Solid-state circuits Solid-State Circuits Conference, 2004. Digest of Technical Papers. ISSCC. 2004 IEEE International. :210-523 Vol.1 2004

    Relation: 2004 IEEE International Solid-State Circuits Conference

  9. 9
  10. 10
    مؤتمر

    المصدر: 2003 IEEE International Solid-State Circuits Conference, 2003. Digest of Technical Papers. ISSCC. Solid-state circuits Solid-State Circuits Conference, 2003. Digest of Technical Papers. ISSCC. 2003 IEEE International. :314-496 vol.1 2003

    Relation: Proceedings of IEEE International Solid-State Circuits Conference