يعرض 1 - 10 نتائج من 10 نتيجة بحث عن '"bioelectric model"', وقت الاستعلام: 1.10s تنقيح النتائج
  1. 1
    دورية أكاديمية

    المصدر: IEEE Transactions on Biomedical Engineering IEEE Trans. Biomed. Eng. Biomedical Engineering, IEEE Transactions on. 65(12):2781-2789 Dec, 2018

  2. 2
  3. 3
  4. 4
  5. 5
    كتاب إلكتروني

    المؤلفون: Callejón, M. AmparoAff7, Roa, Laura M.Aff7, Aff8, Reina-Tosina, JavierAff9, Aff8

    المساهمون: Magjarevic, Ratko, Editor-in-chiefAff1, Ładyzynsk, Piotr, Series editorAff2, Ibrahim, Fatimah, Series editorAff3, Lacković, Igor, Series editorAff4, Rock, Emilio Sacristan, Series editorAff5, Roa Romero, Laura M., editorAff6

    المصدر: XIII Mediterranean Conference on Medical and Biological Engineering and Computing 2013 : MEDICON 2013, 25-28 September 2013, Seville, Spain. 41:1591-1594

  6. 6
    كتاب إلكتروني

    المؤلفون: Callejón, M. A.Aff3, Roa, L. M.Aff3, Aff4, Reina, J.Aff4, Aff5

    المساهمون: Magjarevic, Ratko, editorAff1, Jobbágy, Ákos, editorAff2

    المصدر: 5th European Conference of the International Federation for Medical and Biological Engineering : 14–18 September 2011, Budapest, Hungary. 37:263-266

  7. 7

    المساهمون: Afd Pharmacology, Pharmacology, Institut de Mathématiques de Bordeaux (IMB), Université Bordeaux Segalen - Bordeaux 2-Université Sciences et Technologies - Bordeaux 1-Université de Bordeaux (UB)-Institut Polytechnique de Bordeaux (Bordeaux INP)-Centre National de la Recherche Scientifique (CNRS)

    المصدر: Moroccan Journal of Pure and Applied Analysis
    Moroccan Journal of Pure and Applied Analysis, Sidi Mohamed Benabdallah University [Ifrane] Al Akhawyn University, 2019, 5 (2), pp.235-250. ⟨10.2478/mjpaa-2019-0017⟩
    Moroccan Journal of Pure and Applied Sciences, 5(2), 235. Sciendo

    وصف الملف: application/pdf

  8. 8

    المساهمون: Universidad Escuela Colombiana de Carreras Industriales (ECCI), Queen Mary University of London (QMUL), Universidad Rey Juan Carlos [Madrid] (URJC), Modélisation et calculs pour l'électrophysiologie cardiaque (CARMEN), Institut de Mathématiques de Bordeaux (IMB), Université Bordeaux Segalen - Bordeaux 2-Université Sciences et Technologies - Bordeaux 1 (UB)-Université de Bordeaux (UB)-Institut Polytechnique de Bordeaux (Bordeaux INP)-Centre National de la Recherche Scientifique (CNRS)-Université Bordeaux Segalen - Bordeaux 2-Université Sciences et Technologies - Bordeaux 1 (UB)-Université de Bordeaux (UB)-Institut Polytechnique de Bordeaux (Bordeaux INP)-Centre National de la Recherche Scientifique (CNRS)-Inria Bordeaux - Sud-Ouest, Institut National de Recherche en Informatique et en Automatique (Inria)-Institut National de Recherche en Informatique et en Automatique (Inria)-IHU-LIRYC, Université Bordeaux Segalen - Bordeaux 2-CHU Bordeaux [Bordeaux]-CHU Bordeaux [Bordeaux], IHU-LIRYC, Université Bordeaux Segalen - Bordeaux 2-CHU Bordeaux [Bordeaux]-Université Bordeaux Segalen - Bordeaux 2-CHU Bordeaux [Bordeaux]-Institut de Mathématiques de Bordeaux (IMB), Université Bordeaux Segalen - Bordeaux 2-Université Sciences et Technologies - Bordeaux 1-Université de Bordeaux (UB)-Institut Polytechnique de Bordeaux (Bordeaux INP)-Centre National de la Recherche Scientifique (CNRS)-Université Sciences et Technologies - Bordeaux 1-Université de Bordeaux (UB)-Institut Polytechnique de Bordeaux (Bordeaux INP)-Centre National de la Recherche Scientifique (CNRS)-Inria Bordeaux - Sud-Ouest, Institut National de Recherche en Informatique et en Automatique (Inria)-Institut National de Recherche en Informatique et en Automatique (Inria)

    المصدر: IEEE Access, Vol 5, Pp 18488-18497 (2017)
    IEEE Access
    IEEE Access, 2017, 5, pp.18488-18497. ⟨10.1109/ACCESS.2017.2747632⟩
    IEEE Access, IEEE, 2017, 5, pp.18488-18497. ⟨10.1109/ACCESS.2017.2747632⟩

  9. 9
    مؤتمر

    لا يتم عرض هذه النتيجة على الضيوف.

  10. 10
    مؤتمر

    لا يتم عرض هذه النتيجة على الضيوف.