Okapi: Efficiently Safeguarding Speculative Data Accesses in Sandboxed Environments

التفاصيل البيبلوغرافية
العنوان: Okapi: Efficiently Safeguarding Speculative Data Accesses in Sandboxed Environments
المؤلفون: Schmitz, Philipp, Jauch, Tobias, Wezel, Alex, Fadiheh, Mohammad R., Tiemann, Thore, Heller, Jonah, Eisenbarth, Thomas, Stoffel, Dominik, Kunz, Wolfgang
سنة النشر: 2023
المجموعة: Computer Science
مصطلحات موضوعية: Computer Science - Cryptography and Security, Computer Science - Hardware Architecture
الوصف: This paper introduces Okapi, a new hardware/software cross-layer architecture designed to mitigate Transient Execution Side Channel (TES) attacks in modern computing systems. Okapi enforces sandboxing for speculative execution, providing a hardware basis that can replace expensive speculation barriers in software. At its core, Okapi allows for speculative data accesses to a memory page only after the page has been accessed non-speculatively at least once by the current trust domain. The granularity of the trust domains can be controlled in software to achieve different security and performance trade-offs. For environments with less stringent security needs, Okapi's features can be deactivated to remove all performance overhead. Without relying on any software modification, the Okapi hardware features already provide full protection against TES breakout attacks at a thread-level granularity. This incurs an average performance overhead of only 3.17% for the SPEC CPU2017 benchmark suite. Okapi introduces the OkapiReset instruction for additional software-level security support. This instruction allows for fine-grained sandboxing with custom program sizes smaller than a thread, resulting in 1.68% performance overhead in our WebAssembly runtime experiment. On top, Okapi provides the possibility to eliminate poisoning attacks. For the highest level of security, the OkapiLoad instruction prevents confidential data from being added to the trust domain after a sequential access, thereby enforcing weak speculative non-interference. In addition, we present a hardware extension that limits the exploitable code space for Spectre gadgets to well-defined sections of the program. By ensuring the absence of gadgets in these sections, developers can tailor their software towards achieving beneficial trade-offs between the size of a trust domain and performance.
نوع الوثيقة: Working Paper
URL الوصول: http://arxiv.org/abs/2312.08156
رقم الأكسشن: edsarx.2312.08156
قاعدة البيانات: arXiv