One protocol to rule them all: Wireless network-on-chip using deep reinforcement learning

التفاصيل البيبلوغرافية
العنوان: One protocol to rule them all: Wireless network-on-chip using deep reinforcement learning
المؤلفون: Jog, Suraj, Liu, Zikun, Franques, Antonio, Fernando, Vimuth, Abadal Cavallé, Sergi, Torrellas, Josep, Hassanieh, Haitham
المساهمون: Universitat Politècnica de Catalunya. Departament d'Arquitectura de Computadors, Universitat Politècnica de Catalunya. CBA - Sistemes de Comunicacions i Arquitectures de Banda Ampla
المصدر: UPCommons. Portal del coneixement obert de la UPC
Universitat Politècnica de Catalunya (UPC)
بيانات النشر: USENIX Association, 2021.
سنة النشر: 2021
مصطلحات موضوعية: Telecomunicació -- Tràfic -- Gestió, Enginyeria de la telecomunicació::Telemàtica i xarxes d'ordinadors [Àrees temàtiques de la UPC], Computer network protocols, Networks on a chip, Telecommunication -- Traffic -- Management, Protocols de xarxes d'ordinadors
الوصف: Wireless Network-on-Chip (NoC) has emerged as a promising solution to scale chip multi-core processors to hundreds and thousands of cores. The broadcast nature of a wireless network allows it to significantly reduce the latency and overhead of many-to-many multicast and broadcast communication on NoC processors. Unfortunately, the traffic patterns on wireless NoCs tend to be very dynamic and can change drastically across different cores, different time intervals and different applications. New medium access protocols that can learn and adapt to the highly dynamic traffic in wireless NoCs are needed to ensure low latency and efficient network utilization. Towards this goal, we present NeuMAC, a unified approach that combines networking, architecture and deep learning to generate highly adaptive medium access protocols for wireless NoC architectures. NeuMAC leverages a deep reinforcement learning framework to create new policies that can learn the structure, correlations, and statistics of the traffic patterns and adapt quickly to optimize performance. Our results show that NeuMAC can quickly adapt to NoC traffic to provide significant gains in terms of latency, throughput, and overall execution time. In particular, for applications with highly dynamic traffic patterns, NeuMAC can speed up the execution time by 1.37× -3.74× as compared to 6 baselines. The work is funded in part by NSF Award 1750725.
وصف الملف: application/pdf
URL الوصول: https://explore.openaire.eu/search/publication?articleId=dedup_wf_001::097f94e7010f4590d37c53f6f800a326
http://hdl.handle.net/2117/345008
حقوق: OPEN
رقم الأكسشن: edsair.dedup.wf.001..097f94e7010f4590d37c53f6f800a326
قاعدة البيانات: OpenAIRE