Atomic-scale ferroic HfO2-ZrO2 superlattice gate stack for advanced transistors

التفاصيل البيبلوغرافية
العنوان: Atomic-scale ferroic HfO2-ZrO2 superlattice gate stack for advanced transistors
المؤلفون: Cheng-Hsiang Hsu, Yoonsoo Rho, Steve Volkman, Brian Tyrrell, Suman Datta, Corey Stull, Zhan Zhang, Woo-Bin Song, Suraj Cheema, Jim Ciston, Padraic Shafer, Apurva Mehta, Won-Tae Koo, Chenming Hu, Gianni Pinelli, Jong-Ho Bae, Li-Chen Wang, Seung-Geol Nam, Matthew A. Cook, Dong Jin Jung, Jorge Gomez, Dominick Pipitone, Patrick Fay, Sayeef Salahuddin, John W. Freeland, Chung-Hsun Lin, Jinseong Heo, Kab-Jin Nam, Wenshen Li, Mohamed Mohamed, Nirmaan Shanker, Costas P. Grigoropoulos, Matthew San Jose, Ramamoorthy Ramesh, Vladimir Stoica, Ghazal Soheli, Christopher J. Tassone, Dong Ik Suh, David Thompson, Yu-Hung Liao, Ravi Rastogi, Shang-Lin Hsu, Daewoong Kwon
بيانات النشر: Research Square Platform LLC, 2021.
سنة النشر: 2021
مصطلحات موضوعية: Materials science, law, business.industry, Superlattice, Transistor, Gate stack, Optoelectronics, business, Atomic units, law.invention
الوصف: With the scaling of lateral dimensions in advanced transistors, an increased gate capacitance is desirable both to retain the control of the gate electrode over the channel and to reduce the operating voltage. This led to the adoption of high-κ dielectric HfO2 in the gate stack in 2008, which remains as the material of choice to date. Here, we report HfO2-ZrO2 superlattice heterostructures as a gate stack, stabilized with mixed ferroelectric-antiferroelectric order, directly integrated onto Si transistors and scaled down to ~ 20 Å, the same gate oxide thickness required for high performance transistors. The overall EOT (equivalent oxide thickness) in metal-oxide-semiconductor capacitors is equivalent to ~ 6.5 Å effective SiO2 thickness, which is, counterintuitively, even smaller than the interfacial SiO2 thickness (8.0-8.5 Å) itself. Such a low effective oxide thickness and the resulting large capacitance cannot be achieved in conventional HfO2-based high-κ dielectric gate stacks without scavenging the interfacial SiO2, which has adverse effects on the electron transport and gate leakage current. Accordingly, our gate stacks, which do not require such scavenging, provide substantially lower leakage current and no mobility degradation. Therefore, our work demonstrates that HfO2-ZrO2 multilayers with competing ferroelectric-antiferroelectric order, stabilized in the 2 nm thickness regime, provides a new path towards advanced gate oxide stacks in electronic devices beyond the conventional HfO2-based high-κ dielectrics.
URL الوصول: https://explore.openaire.eu/search/publication?articleId=doi_________::17f8aaa2e1c2c48a54ff1b9ae31d4a4b
https://doi.org/10.21203/rs.3.rs-413053/v1
حقوق: OPEN
رقم الأكسشن: edsair.doi...........17f8aaa2e1c2c48a54ff1b9ae31d4a4b
قاعدة البيانات: OpenAIRE