Combined HW/SW Drift and Variability Mitigation for PCM-Based Analog In-Memory Computing for Neural Network Applications

التفاصيل البيبلوغرافية
العنوان: Combined HW/SW Drift and Variability Mitigation for PCM-Based Analog In-Memory Computing for Neural Network Applications
المؤلفون: Alessio Antolini, Carmine Paolino, Francesco Zavalloni, Andrea Lico, Eleonora Franchi Scarselli, Mauro Mangia, Fabio Pareschi, Gianluca Setti, Riccardo Rovatti, Mattia Luigi Torres, Marcella Carissimi, Marco Pasotti
المساهمون: Alessio Antolini, Carmine Paolino, Francesco Zavalloni, Andrea Lico, Eleonora Franchi Scarselli, Mauro Mangia, Fabio Pareschi, Gianluca Setti, Riccardo Rovatti, Mattia Luigi Torres, Marcella Carissimi, Marco Pasotti
المصدر: IEEE Journal on Emerging and Selected Topics in Circuits and Systems. 13:395-407
بيانات النشر: Institute of Electrical and Electronics Engineers (IEEE), 2023.
سنة النشر: 2023
مصطلحات موضوعية: NoiseAware Training, Deep Neural Network (DNN), Phase-Change Memory (PCM), Drift Compensation, Noise-Aware Training, Analog In-memory Computing (AIMC), PhaseChange Memory (PCM), Electrical and Electronic Engineering
الوصف: Matrix-Vector Multiplications (MVMs) represent a heavy workload for both training and inference in Deep Neural Networks (DNNs) applications. Analog In-memory Computing (AIMC) systems based on Phase Change Memory (PCM) has been shown to be a valid competitor to enhance the energy efficiency of DNN accelerators. Although DNNs are quite resilient to computation inaccuracies, PCM non-idealities could strongly affect MVM operations precision, and thus the accuracy of DNNs. In this paper, a combined hardware and software solution to mitigate the impact of PCM non-idealities is presented. The drift of PCM cells conductance is compensated at the circuit level through the introduction of a conductance ratio at the core of the MVM computation. A model of the behaviour of PCM cells is employed to develop a device-aware training for DNNs and the accuracy is estimated in a CIFAR-10 classification task. This work is supported by a PCM-based AIMC prototype, designed in a 90-nm STMicroelectronics technology, and conceived to perform Multiply-and-Accumulate (MAC) computations, which are the kernel of MVMs. Results show that the MAC computation accuracy is around 95% even under the effect of cells drift. The use of a device-aware DNN training makes the networks less sensitive to weight variability, with a 15% increase in classification accuracy over a conventionally-trained Lenet-5 DNN, and a 36% gain when drift compensation is applied.
وصف الملف: ELETTRONICO
تدمد: 2156-3365
2156-3357
URL الوصول: https://explore.openaire.eu/search/publication?articleId=doi_dedup___::11ada0cec13a2a0d4655f4b10b1524bb
https://doi.org/10.1109/jetcas.2023.3241750
حقوق: OPEN
رقم الأكسشن: edsair.doi.dedup.....11ada0cec13a2a0d4655f4b10b1524bb
قاعدة البيانات: OpenAIRE