iEDA: An Open-Source Intelligent Physical Implementation Toolkit and Library

التفاصيل البيبلوغرافية
العنوان: iEDA: An Open-Source Intelligent Physical Implementation Toolkit and Library
المؤلفون: Li, Xingquan, Tao, Simin, Huang, Zengrong, Chen, Shijian, Zeng, Zhisheng, Ni, Liwei, Huang, Zhipeng, Zhuang, Chunan, Wu, Hongxi, Li1, Weiguo, Zhao, Xueyan, Liu, He, Long, Shuaiying, He, Wei, Liu, Bojun, Gan, Sifeng, Yu, Zihao, Liu, Tong, Miao, Yuchi, Yan, Zhiyuan, Wang, Hao, Zhao, Jie, Li, Yifan, Liu, Ruizhi, Lin, Xiaoze, Yang, Bo, Xue, Zhen, Huang, Fuxing, Yang, Zonglin, Wu, Zhenggang, Li, Jiangkao, Liu, Yuezuo, Peng, Ming, Qiu, Yihang, Wu, Wenrui, Shao, Zheqing, Mo, Kai, Liu, Jikang, Liang, Yuyao, Zhang, Mingzhe, Ma, Zhuang, Cong, Xiang, Huang, Daxiang, Luo, Guojie, Li, Huawei, Shen, Haihua, Chen, Mingyu, Bu, Dongbo, Zhu, Wenxing, Cai, Ye, Xiong, Xiaoming, Jiang, Ying, Heng, Yi, Zhang, Peng, Xie, Biwei, Bao, Yungang
سنة النشر: 2023
المجموعة: Computer Science
مصطلحات موضوعية: Computer Science - Hardware Architecture
الوصف: Open-source EDA shows promising potential in unleashing EDA innovation and lowering the cost of chip design. This paper presents an open-source EDA project, iEDA, aiming for building a basic infrastructure for EDA technology evolution and closing the industrial-academic gap in the EDA area. iEDA now covers the whole flow of physical design (including Floorplan, Placement, CTS, Routing, Timing Optimization etc.), and part of the analysis tools (Static Timing Analysis and Power Analysis). To demonstrate the effectiveness of iEDA, we implement and tape out three chips of different scales (from 700k to 1.5M gates) on different process nodes (110nm and 28nm) with iEDA. iEDA is publicly available from the project home page http://ieda.oscc.cc.
نوع الوثيقة: Working Paper
URL الوصول: http://arxiv.org/abs/2308.01857
رقم الأكسشن: edsarx.2308.01857
قاعدة البيانات: arXiv