Exploring Winograd Convolution for Cost-effective Neural Network Fault Tolerance

التفاصيل البيبلوغرافية
العنوان: Exploring Winograd Convolution for Cost-effective Neural Network Fault Tolerance
المؤلفون: Xue, Xinghua, Liu, Cheng, Liu, Bo, Huang, Haitong, Wang, Ying, Luo, Tao, Zhang, Lei, Li, Huawei, Li, Xiaowei
سنة النشر: 2023
المجموعة: Computer Science
مصطلحات موضوعية: Computer Science - Machine Learning
الوصف: Winograd is generally utilized to optimize convolution performance and computational efficiency because of the reduced multiplication operations, but the reliability issues brought by winograd are usually overlooked. In this work, we observe the great potential of winograd convolution in improving neural network (NN) fault tolerance. Based on the observation, we evaluate winograd convolution fault tolerance comprehensively from different granularities ranging from models, layers, and operation types for the first time. Then, we explore the use of inherent fault tolerance of winograd convolution for cost-effective NN protection against soft errors. Specifically, we mainly investigate how winograd convolution can be effectively incorporated with classical fault-tolerant design approaches including triple modular redundancy (TMR), fault-aware retraining, and constrained activation functions. According to our experiments, winograd convolution can reduce the fault-tolerant design overhead by 55.77\% on average without any accuracy loss compared to standard convolution, and further reduce the computing overhead by 17.24\% when the inherent fault tolerance of winograd convolution is considered. When it is applied on fault-tolerant neural networks enhanced with fault-aware retraining and constrained activation functions, the resulting model accuracy generally shows significant improvement in presence of various faults.
نوع الوثيقة: Working Paper
URL الوصول: http://arxiv.org/abs/2308.08230
رقم الأكسشن: edsarx.2308.08230
قاعدة البيانات: arXiv