دورية أكاديمية

An ultra-deep TSV technique enabled by the dual catalysis-based electroless plating of combined barrier and seed layers

التفاصيل البيبلوغرافية
العنوان: An ultra-deep TSV technique enabled by the dual catalysis-based electroless plating of combined barrier and seed layers
المؤلفون: Yuwen Su, Yingtao Ding, Lei Xiao, Ziyue Zhang, Yangyang Yan, Zhifang Liu, Zhiming Chen, Huikai Xie
المصدر: Microsystems & Nanoengineering, Vol 10, Iss 1, Pp 1-11 (2024)
بيانات النشر: Nature Publishing Group, 2024.
سنة النشر: 2024
المجموعة: LCC:Technology
LCC:Engineering (General). Civil engineering (General)
مصطلحات موضوعية: Technology, Engineering (General). Civil engineering (General), TA1-2040
الوصف: Abstract Silicon interposers embedded with ultra-deep through-silicon vias (TSVs) are in great demand for the heterogeneous integration and packaging of opto-electronic chiplets and microelectromechanical systems (MEMS) devices. Considering the cost-effective and reliable manufacturing of ultra-deep TSVs, the formation of continuous barrier and seed layers remains a crucial challenge to solve. Herein, we present a novel dual catalysis-based electroless plating (ELP) technique by tailoring polyimide (PI) liner surfaces to fabricate dense combined Ni barrier/seed layers in ultra-deep TSVs. In additional to the conventional acid catalysis procedure, a prior catalytic step in an alkaline environment is proposed to hydrolyze the PI surface into a polyamide acid (PAA) interfacial layer, resulting in additional catalysts and the formation of a dense Ni layer that can function as both a barrier layer and a seed layer, particularly at the bottom of the deep TSV. TSVs with depths larger than 500 μm and no voids are successfully fabricated in this study. The fabrication process involves low costs and temperatures. For a fabricated 530-μm-deep TSV with a diameter of 70 μm, the measured depletion capacitance and leakage current are approximately 1.3 pF and 1.7 pA at 20 V, respectively, indicating good electrical properties. The proposed fabrication strategy can provide a cost-effective and feasible solution to the challenge of manufacturing ultra-deep TSVs for modern 3D heterogeneous integration and packaging applications.
نوع الوثيقة: article
وصف الملف: electronic resource
اللغة: English
تدمد: 2055-7434
Relation: https://doaj.org/toc/2055-7434
DOI: 10.1038/s41378-024-00713-5
URL الوصول: https://doaj.org/article/e7d9d05ff5c44f888def8762f59c0fcc
رقم الأكسشن: edsdoj.7d9d05ff5c44f888def8762f59c0fcc
قاعدة البيانات: Directory of Open Access Journals
الوصف
تدمد:20557434
DOI:10.1038/s41378-024-00713-5