Synthesis of Predictable Global NoC by Abutment in Synchoros VLSI Design

التفاصيل البيبلوغرافية
العنوان: Synthesis of Predictable Global NoC by Abutment in Synchoros VLSI Design
المؤلفون: Altayo Gonzalez, u1dr0yqp, Stathis, Dimitrios, Hemani, Ahmed, 1961
المصدر: Proceedings - 2021 15th IEEE/ACM International Symposium on Networks-on-Chip, NOCS 2021 International Symposium on Networks-on-Chip. :61-66
مصطلحات موضوعية: Coarse Grain Reconfigurable Architectures, Clock Tree Synthesis, VLSI design, SiLago
الوصف: Synchoros VLSI design style has been proposed as an alternative to the standard cell-based design style; the word synchoros is derived from the Greek word choros for space. Synchoricity discretises space with a virtual grid, the way synchronicity discretises time with clock ticks. SiLago (Silicon Lego) blocks are atomic synchoros building blocks like Lego bricks. SiLago blocks absorb all metal layer details, i.e., all wires, to enable composition by abutment of valid; valid in the sense of being technology design rules compliant, timing clean and OCV ruggedized. Effectively, composition by abutment eliminates logic and physical synthesis for the end user. Like Lego system, synchoricity does need a finite number of SiLago block types to cater to different types of designs. Global NoCs are important system level design components. In this paper, we show, how with a small library of SiLago blocks for global NoCs, it is possible to automatically synthesize arbitrary global NoCs of different types, dimensions, and topology. The synthesized global NoCs are not only valid VLSI designs, but their cost metrics (area, latency, and energy) are known with post-layout accuracy in linear time. We argue that this is essential to be able to do chip-level design space exploration. We show how the abstract timing model of such global NoC SiLago blocks can be built and used to analyse the timing of global NoC links with post layout accuracy and in linear time. We validate this claim by subjecting the same VLSI designs of global NoC to commercial EDA's static timing analysis and show that the abstract timing analysis enabled by synchoros VLSI design gives the same results as the commercial EDA tools.
وصف الملف: print
URL الوصول: https://urn.kb.se/resolve?urn=urn:nbn:se:kth:diva-310025
قاعدة البيانات: SwePub
الوصف
تدمد:24743739
DOI:10.1145/3479876.3481594